Community Profile

photo

Marc Erickson

Last seen: 16 días hace Con actividad desde 2020

Followers: 0   Following: 0

Contacto

Estadísticas

  • 3 Month Streak
  • Knowledgeable Level 2
  • First Answer

Ver insignias

Feeds

Ver por

Respondida
Error running simulink with QuestaSim. Failed to connect to server. Make sure loaded HDL simulator library is using shared memory.
HDL Verifier cannot support the Intel FPGA Edition of ModelSim since that version of the simulator does not support PLI applicat...

5 meses hace | 1

Respondida
I am unable to compile and execute SystemC code generated from a Simulink model
You appear to have a missing the specification of the systemc library and so it is showing up as an empty string in the linker l...

5 meses hace | 0

Respondida
Error: Failed to load shared library "xsim.dir/design/xsimk.so"
For linux, there are two key requirements: You must use the supported version of Vivado for the version of MATLAB you are usin...

6 meses hace | 0

Respondida
How to call vivado from matlab for cosimulation
The Vivado Simulator cosimulation process is different than that for ModelSim. For Vivado, a shared library containing the Vivad...

7 meses hace | 0

| aceptada

Respondida
Can ModelSim Altera Starter Edition be used for HDL cosimulation?
(Moving Eric's response to be an Answer.) No, HDL Verifier generally requires one of the versions of ModelSim or Questa that is...

8 meses hace | 0

Respondida
How to include Vivado in cosimulation wizard hdl simulator ?
There is nothing that needs to be done to include "Vivado Simulator" in the drop-down selection of the Cosimulation Wizard. The ...

8 meses hace | 0

Respondida
Error using () Data type mismatch at signal 'c_out'.This port expects a Logic data type of size 1
The module port declarations are (implicitly) declaring c_out and sum as net types of wire and data type of logic (1 bit and 4 b...

más de 1 año hace | 0

Respondida
xcelium with HDL Verifier
The nclaunch MATLAB function is a MATLAB front-end to creating a shell script for compiling and launching Xcelium. Its name is h...

más de 1 año hace | 0

Respondida
Which xcelium version is supported with HDL Verifier?
Hi Fatimah, As you have found, the documentation states supported third party tool versions at Supported EDA Tools and Hardware...

más de 1 año hace | 0

| aceptada

Respondida
How to update HDL verifier block when VHDL source changes its port definition?
You have cited two ways to update the interface: Re-running the cosimulation wizard or using the block mask "Ports" tab and usin...

más de 1 año hace | 0

| aceptada

Respondida
Import HDL Code for HDL Cosimulation Block with VHDL-2008
I could not find any way to directly specify the option for the project-based compilation. Instead, one must use the optoins set...

casi 2 años hace | 0

Respondida
Error in FIL simulation at the second time it's running
Double check that the bitstream you are using was created with the same version of Simulink as you are running your model with. ...

más de 2 años hace | 0

Respondida
how to solve coder.internal.Float2FixedConverter.runTestBenchToLogDataNew ?
The cited package, Float2FixedConverter, is available through the Fixed Point Designer or HDL Coder products. To use the System...

más de 2 años hace | 0

| aceptada

Respondida
Is it possible to generate DPI model of PLL Testbench block and use it inside SystemVerilog/UVM testbench?
A very interesting idea! The SystemVerilog DPI component generation currently supports only Fixed Step solvers to allow easy in...

casi 3 años hace | 0

Respondida
TLM Generator HDL coder
The SystemC environment variables are not set for the include path. In the demo, the section, "Select TLM Compilation View", go...

más de 4 años hace | 0

| aceptada