Cannot Create Project for "HW/SW Co-design QPSK Transmitter and Receiver Using Analog Devices AD9361/ AD9364 Hardware Generation Model"

16 visualizaciones (últimos 30 días)
Can someone help me?
I was following the tutorial https://ww2.mathworks.cn/help/supportpkg/xilinxzynqbasedradio/examples/hw-sw-co-design-qpsk-transmit-and-receive-using-analog-devices-ad9361-ad9364.html HW/SW Co-Design QPSK Transmit and Receive Using Analog Devices AD9361/AD9364.
But I got stuck at step 4 in HDL workflow advisor. Attached is the workflow_task_CreateProject.log.
Task "Create Project" unsuccessful. See log for details.
Generated logfile:
****** Vivado v2019.2 (64-bit)
**** SW Build 2700185 on Thu Oct 24 18:46:05 MDT 2019
**** IP Build 2699827 on Thu Oct 24 21:16:38 MDT 2019
** Copyright 1986-2019 Xilinx, Inc. All Rights Reserved.
source vivado_create_prj.tcl -notrace
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1700] Loaded user IP repository 'e:/PhD/FPGA/QPSK_test/vivado_ip_prj/ipcore'.
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/Xilinx/Vivado/2019.2/data/ip'.
INFO: [IP_Flow 19-1839] IP Catalog is up to date.
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1700] Loaded user IP repository 'e:/PhD/FPGA/QPSK_test/vivado_ip_prj/ipcore'.
WARNING: [IP_Flow 19-3685] Ignored loading user repository 'e:/PhD/FPGA/QPSK_test/vivado_ip_prj/ipcore/adi/library'. The path is contained within another repository.
==> Using Board zc706
Wrote : <E:\PhD\FPGA\QPSK_test\vivado_ip_prj\vivado_prj.srcs\sources_1\bd\system\system.bd>
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-721] Attempt to set value '0' on disabled parameter 'SYNC_TRANSFER_START' of cell '/axi_hdmi_dma' is ignored
create_bd_net sys_cpu_clk
connect_bd_net -net /sys_cpu_clk /sys_ps7/FCLK_CLK0
create_bd_net sys_200m_clk
connect_bd_net -net /sys_200m_clk /sys_ps7/FCLK_CLK1
create_bd_net sys_cpu_reset
connect_bd_net -net /sys_cpu_reset /sys_rstgen/peripheral_reset
create_bd_net sys_cpu_resetn
connect_bd_net -net /sys_cpu_resetn /sys_rstgen/peripheral_aresetn
connect_bd_net -net /sys_cpu_clk /sys_rstgen/slowest_sync_clk
connect_bd_net /sys_rstgen/ext_reset_in /sys_ps7/FCLK_RESET0_N
connect_bd_intf_net /ddr /sys_ps7/DDR
connect_bd_net /gpio_i /sys_ps7/GPIO_I
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/GPIO_I is being overridden by the user. This pin will not be connected as a part of interface connection GPIO_0
connect_bd_net /gpio_o /sys_ps7/GPIO_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/GPIO_O is being overridden by the user. This pin will not be connected as a part of interface connection GPIO_0
connect_bd_net /gpio_t /sys_ps7/GPIO_T
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/GPIO_T is being overridden by the user. This pin will not be connected as a part of interface connection GPIO_0
connect_bd_intf_net /fixed_io /sys_ps7/FIXED_IO
connect_bd_intf_net /iic_main /axi_iic_main/IIC
connect_bd_net -net /sys_200m_clk /axi_hdmi_clkgen/clk
connect_bd_net /spi0_csn_2_o /sys_ps7/SPI0_SS2_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI0_SS2_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_0
connect_bd_net /spi0_csn_1_o /sys_ps7/SPI0_SS1_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI0_SS1_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_0
connect_bd_net /spi0_csn_0_o /sys_ps7/SPI0_SS_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI0_SS_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_0
connect_bd_net /spi0_csn_i /sys_ps7/SPI0_SS_I
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI0_SS_I is being overridden by the user. This pin will not be connected as a part of interface connection SPI_0
connect_bd_net /spi0_clk_i /sys_ps7/SPI0_SCLK_I
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI0_SCLK_I is being overridden by the user. This pin will not be connected as a part of interface connection SPI_0
connect_bd_net /spi0_clk_o /sys_ps7/SPI0_SCLK_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI0_SCLK_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_0
connect_bd_net /spi0_sdo_i /sys_ps7/SPI0_MOSI_I
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI0_MOSI_I is being overridden by the user. This pin will not be connected as a part of interface connection SPI_0
connect_bd_net /spi0_sdo_o /sys_ps7/SPI0_MOSI_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI0_MOSI_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_0
connect_bd_net /spi0_sdi_i /sys_ps7/SPI0_MISO_I
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI0_MISO_I is being overridden by the user. This pin will not be connected as a part of interface connection SPI_0
connect_bd_net /spi1_csn_2_o /sys_ps7/SPI1_SS2_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI1_SS2_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_1
connect_bd_net /spi1_csn_1_o /sys_ps7/SPI1_SS1_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI1_SS1_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_1
connect_bd_net /spi1_csn_0_o /sys_ps7/SPI1_SS_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI1_SS_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_1
connect_bd_net /spi1_csn_i /sys_ps7/SPI1_SS_I
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI1_SS_I is being overridden by the user. This pin will not be connected as a part of interface connection SPI_1
connect_bd_net /spi1_clk_i /sys_ps7/SPI1_SCLK_I
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI1_SCLK_I is being overridden by the user. This pin will not be connected as a part of interface connection SPI_1
connect_bd_net /spi1_clk_o /sys_ps7/SPI1_SCLK_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI1_SCLK_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_1
connect_bd_net /spi1_sdo_i /sys_ps7/SPI1_MOSI_I
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI1_MOSI_I is being overridden by the user. This pin will not be connected as a part of interface connection SPI_1
connect_bd_net /spi1_sdo_o /sys_ps7/SPI1_MOSI_O
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI1_MOSI_O is being overridden by the user. This pin will not be connected as a part of interface connection SPI_1
connect_bd_net /spi1_sdi_i /sys_ps7/SPI1_MISO_I
WARNING: [BD 41-1306] The connection to interface pin /sys_ps7/SPI1_MISO_I is being overridden by the user. This pin will not be connected as a part of interface connection SPI_1
connect_bd_net -net /sys_cpu_clk /axi_hdmi_core/vdma_clk
connect_bd_net /axi_hdmi_core/hdmi_clk /axi_hdmi_clkgen/clk_0
connect_bd_net /axi_hdmi_core/hdmi_out_clk /hdmi_out_clk
connect_bd_net /axi_hdmi_core/hdmi_24_hsync /hdmi_hsync
connect_bd_net /axi_hdmi_core/hdmi_24_vsync /hdmi_vsync
connect_bd_net /axi_hdmi_core/hdmi_24_data_e /hdmi_data_e
connect_bd_net /axi_hdmi_core/hdmi_24_data /hdmi_data
connect_bd_intf_net /axi_hdmi_dma/m_axis /axi_hdmi_core/s_axis
connect_bd_net -net /sys_cpu_resetn /axi_hdmi_dma/s_axi_aresetn
connect_bd_net -net /sys_cpu_resetn /axi_hdmi_dma/m_src_axi_aresetn
connect_bd_net -net /sys_cpu_clk /axi_hdmi_dma/s_axi_aclk
connect_bd_net -net /sys_cpu_clk /axi_hdmi_dma/m_src_axi_aclk
connect_bd_net -net /sys_cpu_clk /axi_hdmi_dma/m_axis_aclk
connect_bd_net -net /sys_cpu_clk /axi_spdif_tx_core/dma_req_aclk
connect_bd_net -net /sys_cpu_clk /sys_ps7/DMA0_ACLK
connect_bd_net -net /sys_cpu_resetn /axi_spdif_tx_core/dma_req_rstn
connect_bd_intf_net /sys_ps7/DMA0_REQ /axi_spdif_tx_core/dma_req
connect_bd_intf_net /sys_ps7/DMA0_ACK /axi_spdif_tx_core/dma_ack
connect_bd_net -net /sys_200m_clk /sys_audio_clkgen/clk_in1
connect_bd_net -net /sys_cpu_resetn /sys_audio_clkgen/resetn
connect_bd_net /sys_audio_clkgen/clk_out1 /axi_spdif_tx_core/spdif_data_clk
connect_bd_net /spdif /axi_spdif_tx_core/spdif_tx_o
connect_bd_net /sys_concat_intc/dout /sys_ps7/IRQ_F2P
connect_bd_net /sys_concat_intc/In15 /axi_hdmi_dma/irq
connect_bd_net /sys_concat_intc/In14 /axi_iic_main/iic2intc_irpt
connect_bd_net GND_1/dout sys_concat_intc/In13
connect_bd_net GND_1/dout sys_concat_intc/In12
connect_bd_net GND_1/dout sys_concat_intc/In11
connect_bd_net GND_1/dout sys_concat_intc/In10
connect_bd_net GND_1/dout sys_concat_intc/In9
connect_bd_net GND_1/dout sys_concat_intc/In8
connect_bd_net GND_1/dout sys_concat_intc/In7
connect_bd_net GND_1/dout sys_concat_intc/In6
connect_bd_net GND_1/dout sys_concat_intc/In5
connect_bd_net GND_1/dout sys_concat_intc/In4
connect_bd_net GND_1/dout sys_concat_intc/In3
connect_bd_net GND_1/dout sys_concat_intc/In2
connect_bd_net GND_1/dout sys_concat_intc/In1
connect_bd_net GND_1/dout sys_concat_intc/In0
connect_bd_net -net /sys_cpu_clk /sys_ps7/M_AXI_GP0_ACLK
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/ACLK
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/S00_ACLK
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/ARESETN
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/S00_ARESETN
connect_bd_intf_net /axi_cpu_interconnect/S00_AXI /sys_ps7/M_AXI_GP0
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/M00_ACLK
connect_bd_net -net /sys_cpu_clk /axi_iic_main/s_axi_aclk
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/M00_ARESETN
connect_bd_net -net /sys_cpu_resetn /axi_iic_main/s_axi_aresetn
connect_bd_intf_net /axi_cpu_interconnect/M00_AXI /axi_iic_main/S_AXI
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/M01_ACLK
connect_bd_net -net /sys_cpu_clk /axi_hdmi_clkgen/s_axi_aclk
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/M01_ARESETN
connect_bd_net -net /sys_cpu_resetn /axi_hdmi_clkgen/s_axi_aresetn
connect_bd_intf_net /axi_cpu_interconnect/M01_AXI /axi_hdmi_clkgen/s_axi
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/M02_ACLK
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/M02_ARESETN
connect_bd_intf_net /axi_cpu_interconnect/M02_AXI /axi_hdmi_dma/s_axi
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/M03_ACLK
connect_bd_net -net /sys_cpu_clk /axi_hdmi_core/s_axi_aclk
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/M03_ARESETN
connect_bd_net -net /sys_cpu_resetn /axi_hdmi_core/s_axi_aresetn
connect_bd_intf_net /axi_cpu_interconnect/M03_AXI /axi_hdmi_core/s_axi
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/M04_ACLK
connect_bd_net -net /sys_cpu_clk /axi_spdif_tx_core/s_axi_aclk
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/M04_ARESETN
connect_bd_net -net /sys_cpu_resetn /axi_spdif_tx_core/s_axi_aresetn
connect_bd_intf_net /axi_cpu_interconnect/M04_AXI /axi_spdif_tx_core/s_axi
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
connect_bd_net -net /sys_cpu_resetn /axi_hp0_interconnect/ARESETN
connect_bd_net -net /sys_cpu_clk /axi_hp0_interconnect/ACLK
connect_bd_net -net /sys_cpu_resetn /axi_hp0_interconnect/M00_ARESETN
connect_bd_net -net /sys_cpu_clk /axi_hp0_interconnect/M00_ACLK
connect_bd_intf_net /axi_hp0_interconnect/M00_AXI /sys_ps7/S_AXI_HP0
connect_bd_net -net /sys_cpu_clk /sys_ps7/S_AXI_HP0_ACLK
connect_bd_net -net /sys_cpu_resetn /axi_hp0_interconnect/S00_ARESETN
connect_bd_net -net /sys_cpu_clk /axi_hp0_interconnect/S00_ACLK
connect_bd_intf_net /axi_hp0_interconnect/S00_AXI /axi_hdmi_dma/m_src_axi
Slave segment </sys_ps7/S_AXI_HP0/HP0_DDR_LOWOCM> is being mapped into address space </axi_hdmi_dma/m_src_axi> at <0x0000_0000 [ 1G ]>
connect_bd_net -net /sys_200m_clk /axi_ad9361/delay_clk
connect_bd_net /axi_ad9361/l_clk /axi_ad9361/clk
connect_bd_net /rx_clk_in_p /axi_ad9361/rx_clk_in_p
connect_bd_net /rx_clk_in_n /axi_ad9361/rx_clk_in_n
connect_bd_net /rx_frame_in_p /axi_ad9361/rx_frame_in_p
connect_bd_net /rx_frame_in_n /axi_ad9361/rx_frame_in_n
connect_bd_net /rx_data_in_p /axi_ad9361/rx_data_in_p
connect_bd_net /rx_data_in_n /axi_ad9361/rx_data_in_n
connect_bd_net /tx_clk_out_p /axi_ad9361/tx_clk_out_p
connect_bd_net /tx_clk_out_n /axi_ad9361/tx_clk_out_n
connect_bd_net /tx_frame_out_p /axi_ad9361/tx_frame_out_p
connect_bd_net /tx_frame_out_n /axi_ad9361/tx_frame_out_n
connect_bd_net /tx_data_out_p /axi_ad9361/tx_data_out_p
connect_bd_net /tx_data_out_n /axi_ad9361/tx_data_out_n
connect_bd_net /enable /axi_ad9361/enable
connect_bd_net /txnrx /axi_ad9361/txnrx
connect_bd_net /up_enable /axi_ad9361/up_enable
connect_bd_net /up_txnrx /axi_ad9361/up_txnrx
connect_bd_net -net /sys_cpu_clk /util_ad9361_tdd_sync/clk
connect_bd_net -net /sys_cpu_resetn /util_ad9361_tdd_sync/rstn
connect_bd_net /util_ad9361_tdd_sync/sync_out /axi_ad9361/tdd_sync
connect_bd_net /util_ad9361_tdd_sync/sync_mode /axi_ad9361/tdd_sync_cntr
connect_bd_net /tdd_sync_t /axi_ad9361/tdd_sync_cntr
connect_bd_net /tdd_sync_o /util_ad9361_tdd_sync/sync_out
connect_bd_net /tdd_sync_i /util_ad9361_tdd_sync/sync_in
WARNING: [BD 41-1753] The name 'util_ad9361_divclk_sel_concat' you have specified is long. The Windows OS has path length limitations. It is recommended you use shorter names(less than 25 characters) to reduce the likelihood of issues when/if running on windows OS.
connect_bd_net /axi_ad9361/adc_r1_mode /util_ad9361_divclk_sel_concat/In0
connect_bd_net /axi_ad9361/dac_r1_mode /util_ad9361_divclk_sel_concat/In1
connect_bd_net /util_ad9361_divclk_sel_concat/dout /util_ad9361_divclk_sel/Op1
connect_bd_net /util_ad9361_divclk_sel/Res /util_ad9361_divclk/clk_sel
connect_bd_net /axi_ad9361/l_clk /util_ad9361_divclk/clk
connect_bd_net /sys_rstgen/peripheral_aresetn /util_ad9361_divclk_reset/ext_reset_in
connect_bd_net /util_ad9361_divclk/clk_out /util_ad9361_divclk_reset/slowest_sync_clk
connect_bd_net /axi_ad9361/l_clk /util_ad9361_adc_fifo/din_clk
connect_bd_net /axi_ad9361/rst /util_ad9361_adc_fifo/din_rst
connect_bd_net /util_ad9361_divclk/clk_out /util_ad9361_adc_fifo/dout_clk
connect_bd_net /util_ad9361_divclk_reset/peripheral_aresetn /util_ad9361_adc_fifo/dout_rstn
connect_bd_net /axi_ad9361/adc_enable_i0 /util_ad9361_adc_fifo/din_enable_0
connect_bd_net /axi_ad9361/adc_valid_i0 /util_ad9361_adc_fifo/din_valid_0
connect_bd_net /axi_ad9361/adc_data_i0 /util_ad9361_adc_fifo/din_data_0
connect_bd_net /axi_ad9361/adc_enable_q0 /util_ad9361_adc_fifo/din_enable_1
connect_bd_net /axi_ad9361/adc_valid_q0 /util_ad9361_adc_fifo/din_valid_1
connect_bd_net /axi_ad9361/adc_data_q0 /util_ad9361_adc_fifo/din_data_1
connect_bd_net /axi_ad9361/adc_enable_i1 /util_ad9361_adc_fifo/din_enable_2
connect_bd_net /axi_ad9361/adc_valid_i1 /util_ad9361_adc_fifo/din_valid_2
connect_bd_net /axi_ad9361/adc_data_i1 /util_ad9361_adc_fifo/din_data_2
connect_bd_net /axi_ad9361/adc_enable_q1 /util_ad9361_adc_fifo/din_enable_3
connect_bd_net /axi_ad9361/adc_valid_q1 /util_ad9361_adc_fifo/din_valid_3
connect_bd_net /axi_ad9361/adc_data_q1 /util_ad9361_adc_fifo/din_data_3
connect_bd_net /util_ad9361_adc_fifo/din_ovf /axi_ad9361/adc_dovf
connect_bd_net /util_ad9361_divclk/clk_out /util_ad9361_adc_pack/clk
connect_bd_net /util_ad9361_divclk_reset/peripheral_reset /util_ad9361_adc_pack/reset
connect_bd_net /util_ad9361_adc_fifo/dout_valid_0 /util_ad9361_adc_pack/fifo_wr_en
connect_bd_net /util_ad9361_adc_pack/fifo_wr_overflow /util_ad9361_adc_fifo/dout_ovf
connect_bd_net /util_ad9361_adc_fifo/dout_enable_0 /util_ad9361_adc_pack/enable_0
connect_bd_net /util_ad9361_adc_fifo/dout_data_0 /util_ad9361_adc_pack/fifo_wr_data_0
connect_bd_net /util_ad9361_adc_fifo/dout_enable_1 /util_ad9361_adc_pack/enable_1
connect_bd_net /util_ad9361_adc_fifo/dout_data_1 /util_ad9361_adc_pack/fifo_wr_data_1
connect_bd_net /util_ad9361_adc_fifo/dout_enable_2 /util_ad9361_adc_pack/enable_2
connect_bd_net /util_ad9361_adc_fifo/dout_data_2 /util_ad9361_adc_pack/fifo_wr_data_2
connect_bd_net /util_ad9361_adc_fifo/dout_enable_3 /util_ad9361_adc_pack/enable_3
connect_bd_net /util_ad9361_adc_fifo/dout_data_3 /util_ad9361_adc_pack/fifo_wr_data_3
connect_bd_net /util_ad9361_divclk/clk_out /axi_ad9361_adc_dma/fifo_wr_clk
connect_bd_intf_net /util_ad9361_adc_pack/packed_fifo_wr /axi_ad9361_adc_dma/fifo_wr
connect_bd_net -net /sys_cpu_resetn /axi_ad9361_adc_dma/m_dest_axi_aresetn
connect_bd_net /axi_ad9361/l_clk /axi_ad9361_dac_fifo/dout_clk
connect_bd_net /axi_ad9361/rst /axi_ad9361_dac_fifo/dout_rst
connect_bd_net /util_ad9361_divclk/clk_out /axi_ad9361_dac_fifo/din_clk
connect_bd_net /util_ad9361_divclk_reset/peripheral_aresetn /axi_ad9361_dac_fifo/din_rstn
connect_bd_net /axi_ad9361_dac_fifo/dout_enable_0 /axi_ad9361/dac_enable_i0
connect_bd_net /axi_ad9361_dac_fifo/dout_valid_0 /axi_ad9361/dac_valid_i0
connect_bd_net /axi_ad9361_dac_fifo/dout_data_0 /axi_ad9361/dac_data_i0
connect_bd_net /axi_ad9361_dac_fifo/dout_enable_1 /axi_ad9361/dac_enable_q0
connect_bd_net /axi_ad9361_dac_fifo/dout_valid_1 /axi_ad9361/dac_valid_q0
connect_bd_net /axi_ad9361_dac_fifo/dout_data_1 /axi_ad9361/dac_data_q0
connect_bd_net /axi_ad9361_dac_fifo/dout_enable_2 /axi_ad9361/dac_enable_i1
connect_bd_net /axi_ad9361_dac_fifo/dout_valid_2 /axi_ad9361/dac_valid_i1
connect_bd_net /axi_ad9361_dac_fifo/dout_data_2 /axi_ad9361/dac_data_i1
connect_bd_net /axi_ad9361_dac_fifo/dout_enable_3 /axi_ad9361/dac_enable_q1
connect_bd_net /axi_ad9361_dac_fifo/dout_valid_3 /axi_ad9361/dac_valid_q1
connect_bd_net /axi_ad9361_dac_fifo/dout_data_3 /axi_ad9361/dac_data_q1
connect_bd_net /axi_ad9361_dac_fifo/dout_unf /axi_ad9361/dac_dunf
connect_bd_net /util_ad9361_divclk/clk_out /util_ad9361_dac_upack/clk
connect_bd_net /util_ad9361_divclk_reset/peripheral_reset /util_ad9361_dac_upack/reset
connect_bd_net /util_ad9361_dac_upack/fifo_rd_en /axi_ad9361_dac_fifo/din_valid_0
connect_bd_net /util_ad9361_dac_upack/fifo_rd_underflow /axi_ad9361_dac_fifo/din_unf
connect_bd_net /util_ad9361_dac_upack/enable_0 /axi_ad9361_dac_fifo/din_enable_0
connect_bd_net /util_ad9361_dac_upack/fifo_rd_valid /axi_ad9361_dac_fifo/din_valid_in_0
connect_bd_net /util_ad9361_dac_upack/fifo_rd_data_0 /axi_ad9361_dac_fifo/din_data_0
connect_bd_net /util_ad9361_dac_upack/enable_1 /axi_ad9361_dac_fifo/din_enable_1
connect_bd_net /util_ad9361_dac_upack/fifo_rd_valid /axi_ad9361_dac_fifo/din_valid_in_1
connect_bd_net /util_ad9361_dac_upack/fifo_rd_data_1 /axi_ad9361_dac_fifo/din_data_1
connect_bd_net /util_ad9361_dac_upack/enable_2 /axi_ad9361_dac_fifo/din_enable_2
connect_bd_net /util_ad9361_dac_upack/fifo_rd_valid /axi_ad9361_dac_fifo/din_valid_in_2
connect_bd_net /util_ad9361_dac_upack/fifo_rd_data_2 /axi_ad9361_dac_fifo/din_data_2
connect_bd_net /util_ad9361_dac_upack/enable_3 /axi_ad9361_dac_fifo/din_enable_3
connect_bd_net /util_ad9361_dac_upack/fifo_rd_valid /axi_ad9361_dac_fifo/din_valid_in_3
connect_bd_net /util_ad9361_dac_upack/fifo_rd_data_3 /axi_ad9361_dac_fifo/din_data_3
connect_bd_net /util_ad9361_divclk/clk_out /axi_ad9361_dac_dma/m_axis_aclk
connect_bd_intf_net /axi_ad9361_dac_dma/m_axis /util_ad9361_dac_upack/s_axis
connect_bd_net -net /sys_cpu_resetn /axi_ad9361_dac_dma/m_src_axi_aresetn
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/M05_ACLK
connect_bd_net -net /sys_cpu_clk /axi_ad9361/s_axi_aclk
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/M05_ARESETN
connect_bd_net -net /sys_cpu_resetn /axi_ad9361/s_axi_aresetn
connect_bd_intf_net /axi_cpu_interconnect/M05_AXI /axi_ad9361/s_axi
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/M06_ACLK
connect_bd_net -net /sys_cpu_clk /axi_ad9361_adc_dma/s_axi_aclk
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/M06_ARESETN
connect_bd_net -net /sys_cpu_resetn /axi_ad9361_adc_dma/s_axi_aresetn
connect_bd_intf_net /axi_cpu_interconnect/M06_AXI /axi_ad9361_adc_dma/s_axi
connect_bd_net -net /sys_cpu_clk /axi_cpu_interconnect/M07_ACLK
connect_bd_net -net /sys_cpu_clk /axi_ad9361_dac_dma/s_axi_aclk
connect_bd_net -net /sys_cpu_resetn /axi_cpu_interconnect/M07_ARESETN
connect_bd_net -net /sys_cpu_resetn /axi_ad9361_dac_dma/s_axi_aresetn
connect_bd_intf_net /axi_cpu_interconnect/M07_AXI /axi_ad9361_dac_dma/s_axi
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
connect_bd_net -net /sys_cpu_resetn /axi_hp1_interconnect/ARESETN
connect_bd_net -net /sys_cpu_clk /axi_hp1_interconnect/ACLK
connect_bd_net -net /sys_cpu_resetn /axi_hp1_interconnect/M00_ARESETN
connect_bd_net -net /sys_cpu_clk /axi_hp1_interconnect/M00_ACLK
connect_bd_intf_net /axi_hp1_interconnect/M00_AXI /sys_ps7/S_AXI_HP1
connect_bd_net -net /sys_cpu_clk /sys_ps7/S_AXI_HP1_ACLK
connect_bd_net -net /sys_cpu_resetn /axi_hp1_interconnect/S00_ARESETN
connect_bd_net -net /sys_cpu_clk /axi_hp1_interconnect/S00_ACLK
connect_bd_intf_net /axi_hp1_interconnect/S00_AXI /axi_ad9361_adc_dma/m_dest_axi
connect_bd_net -net /sys_cpu_clk /axi_ad9361_adc_dma/m_dest_axi_aclk
Slave segment </sys_ps7/S_AXI_HP1/HP1_DDR_LOWOCM> is being mapped into address space </axi_ad9361_adc_dma/m_dest_axi> at <0x0000_0000 [ 1G ]>
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
connect_bd_net -net /sys_cpu_resetn /axi_hp2_interconnect/ARESETN
connect_bd_net -net /sys_cpu_clk /axi_hp2_interconnect/ACLK
connect_bd_net -net /sys_cpu_resetn /axi_hp2_interconnect/M00_ARESETN
connect_bd_net -net /sys_cpu_clk /axi_hp2_interconnect/M00_ACLK
connect_bd_intf_net /axi_hp2_interconnect/M00_AXI /sys_ps7/S_AXI_HP2
connect_bd_net -net /sys_cpu_clk /sys_ps7/S_AXI_HP2_ACLK
connect_bd_net -net /sys_cpu_resetn /axi_hp2_interconnect/S00_ARESETN
connect_bd_net -net /sys_cpu_clk /axi_hp2_interconnect/S00_ACLK
connect_bd_intf_net /axi_hp2_interconnect/S00_AXI /axi_ad9361_dac_dma/m_src_axi
connect_bd_net -net /sys_cpu_clk /axi_ad9361_dac_dma/m_src_axi_aclk
Slave segment </sys_ps7/S_AXI_HP2/HP2_DDR_LOWOCM> is being mapped into address space </axi_ad9361_dac_dma/m_src_axi> at <0x0000_0000 [ 1G ]>
disconnect_bd_net /GND_1_dout /sys_concat_intc/In13
connect_bd_net /sys_concat_intc/In13 /axi_ad9361_adc_dma/irq
disconnect_bd_net /GND_1_dout /sys_concat_intc/In12
connect_bd_net /sys_concat_intc/In12 /axi_ad9361_dac_dma/irq
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block!
WARNING: [BD 41-1306] The connection to interface pin /axi_gpio_dummy_0/gpio_io_o is being overridden by the user. This pin will not be connected as a part of interface connection GPIO
WARNING: [BD 41-1306] The connection to interface pin /axi_gpio_dummy_0/gpio2_io_i is being overridden by the user. This pin will not be connected as a part of interface connection GPIO2
ERROR: [BD 41-80] Exec TCL: Specified object '/sys_ps7/Data /sys_ps7/S_AXI_HP1 /sys_ps7/S_AXI_HP2' does not exist. Please use an existing object name.
Exec Tcl: create_bd_segment -offset <0x40010000> -range <4096> -slave_segment </axi_gpio_dummy_0/S_AXI/Reg> -parent_addr_space </sys_ps7/Data /sys_ps7/S_AXI_HP1 /sys_ps7/S_AXI_HP2> -name <SEG_data_axi_gpio_dummy_0>
ERROR: [BD 5-14] Error: running create_bd_segment.
ERROR: [Common 17-39] 'create_bd_addr_seg' failed due to earlier errors.
while executing
"create_bd_addr_seg -range $range -offset $offset [mw_get_sys_addr_cntrl_space] $addr_seg SEG_data_${bd_slave}"
(procedure "mw_add_cpu_slave" line 8)
invoked from within
"mw_add_cpu_slave $dummy_name [mw_project_get mw_ip_baseaddr] $ic_port"
(procedure "mw_create_dummy_slave" line 12)
invoked from within
"mw_create_dummy_slave $IP_AXIM"
invoked from within
"set dummy_ip [mw_create_dummy_slave $IP_AXIM]"
(file "E:/PhD/FPGA/QPSK_test/vivado_ip_prj/ipcore/mw/projects/ad9361/common/mw_cleanup.tcl" line 16)
while executing
"source $mw_ad9361/common/mw_cleanup.tcl"
(file "vivado_custom_block_design.tcl" line 50)
while executing
"source vivado_custom_block_design.tcl"
(file "vivado_create_prj.tcl" line 23)
INFO: [Common 17-206] Exiting Vivado at Sat Nov 9 15:53:20 2019...
Elapsed time is 43.0864 seconds.
  3 comentarios

Iniciar sesión para comentar.

Respuestas (1)

sh w
sh w el 1 de Abr. de 2022
I have solved this problem!
This error is most likely due to a mismatch between the Matlab and Vivado versions.
You need to search for this document in your MATLAB documentation in your computer, Download the corresponding version of Vivado according to the instructions in the Installation for Hardware-Software Co-design document in Setup Section of HW/SW Co-Design Implementation of ADS-B Receiver Using Analog Devices AD9361/AD9364.
For example, I'm using Matlab2021b, and in this document it says vivado2019.1 is needed.
I used Matlab2018b before. It is written in the document that I need Vivado2017.4, but I still use Vivado2019.1. So I meet this problem.
Therefore, it is important to choose the vivado version that corresponds to the matlab version on your computer. You need to open the documentation in the Matlab in your host instead of looking at the online help documentation.

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by