Info

La pregunta está cerrada. Vuélvala a abrir para editarla o responderla.

Clock frequency will not update in DAQ session

1 visualización (últimos 30 días)
Eric Brost
Eric Brost el 2 de Mzo. de 2015
Cerrada: MATLAB Answer Bot el 20 de Ag. de 2021
Hi all,
I'm running into an issue where I cannot change the clock frequency from 1000 Hz in my DAQ session. Here is the code:
clockFreq=10000;
% Initialize clock
sClk=daq.createSession('ni');
sClk.addCounterOutputChannel('Dev2','ctr0','PulseGeneration') %PFI12
clkTerminal = sClk.Channels(1).Terminal;
sClk.Channels(1).Frequency = clockFreq
sClk.Channels(1).DutyCycle = 0.50;
sClk.startForeground();
No matter what I make the clock frequency, it does not display any value other than 1000 Hz. If I try to make the frequency below 1000 Hz, a timeout occurs.

Respuestas (0)

Etiquetas

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by