How to find out if a class is a handle class?

23 visualizaciones (últimos 30 días)
broken_arrow
broken_arrow el 12 de Mzo. de 2023
Editada: Matt J el 15 de Mzo. de 2023
How can I find out if a class is a handle or a value class? Unfortunately I can't seem to figure it out. Take e. g. matlab.mixin.CustomDisplay. The documentation only says it's handle compatible, but not if it is itself a handle class. I need a class that inherits from handle and matlab.mixin.CustomDisplay. So if the latter is itself a handle class, inheriting from handle would be redundant, right?

Respuesta aceptada

Matt J
Matt J el 12 de Mzo. de 2023
Editada: Matt J el 15 de Mzo. de 2023
How can I find out if a class is a handle or a value class?
You can use,
isa(obj,'handle')
I need a class that inherits from handle and matlab.mixin.CustomDisplay. So if the latter is itself a handle class, inheriting from handle would be redundant, right?
It would be redundant to inherit both from handle and one of its subclasses. However matlab.mixin.CustomDisplay is not a subclass of handle, so it is not redundant in that case.

Más respuestas (0)

Etiquetas

Productos


Versión

R2022b

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by