Can anyone Please me on how to build a analog to digital and digital to analog converter Model in simulink?

5 visualizaciones (últimos 30 días)
I have use DAC where i have to convert a digital signal to analog signal so that i can add it to AWGN channel....And the output should be again converted back to digital....I can easily guess that I require a DAC an ADC... but there are no separate blocks for them in Simulink... And I am unable to do it in simulink?...Plz help me...
  1 comentario
kshama PATEL
kshama PATEL el 17 de Mzo. de 2017
Editada: kshama PATEL el 17 de Mzo. de 2017
i am working on the same concept. even i am unable to do DAC in simulink. Please respond back if you find a solution of the same.

Iniciar sesión para comentar.

Respuestas (1)

Mike Woodward
Mike Woodward el 22 de Nov. de 2011
Dear Yella,
You might find the new free mixed-signal library useful: http://www.mathworks.com/programs/mixed-signal/index.html
Mike

Categorías

Más información sobre Data Converters en Help Center y File Exchange.

Etiquetas

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by