Problems simulating Discrete Controller

2 visualizaciones (últimos 30 días)
Gary
Gary el 17 de Mzo. de 2018
Editada: Gary el 17 de Mzo. de 2018
I was trying to design a PI compensator for integrator plant , which works well in continuous and discrete domain with a step input. I have used the same design with the inputs changed(Sinusoidal). But this time my continuous model works , while discrete domain fails to get me results. Advice will be highly appreciated
  2 comentarios
Birdman
Birdman el 17 de Mzo. de 2018
Can you share your controller, both in continuous and discrete domain?
Gary
Gary el 17 de Mzo. de 2018
Editada: Gary el 17 de Mzo. de 2018
file is attached containing continuous and discrete version. Used Tustin method to convert to discrete with sample time of 0.001s

Iniciar sesión para comentar.

Respuestas (0)

Categorías

Más información sobre Modeling en Help Center y File Exchange.

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by