photo

Pham Van Dung


Last seen: 4 meses hace Con actividad desde 2012

Followers: 0   Following: 0

Mensaje

Estadística

  • Thankful Level 3
  • Revival Level 1
  • First Answer

Ver insignias

Feeds

Ver por

Pregunta


'viterbi_modelsim.mdl' does not exist.
Dear, I tried to open command "viterbi_modelsim" in the help documents of mathwork: http://www.mathworks.com/help/hdlverifie...

más de 9 años hace | 1 respuesta | 0

1

respuesta

Pregunta


Errors : algebraic loop in use HDL simulink coder
Dear friends, when i convert one Simulink block to Verilog use HDL Simulink coder, i have this problem: *_ Failed Cannot conn...

alrededor de 11 años hace | 2 respuestas | 0

2

respuestas

Pregunta


Lookup Table with > 30 dimensions(n-D Lookup Table)
Dear friends, In generaly, 1 The n-D Lookup Table has maximum n=30 dimensions. I want to implement 1 n-D Lookup Table has ...

alrededor de 11 años hace | 0 respuestas | 0

0

respuestas

Respondida
How to generate synthesizable VHDL from Simulink block?
Dear, 1. We can't implement double datatype in FPGA. Tim McBrayer is right. std_logic_vector, signed, or unsigned is support ...

alrededor de 11 años hace | 0

Pregunta


How to creat many HDL blocks at one time
Dear my friends! I have a question need your helpings! I have 3 simulink blocks, and i want to convert them to verilog code, ...

alrededor de 11 años hace | 1 respuesta | 0

1

respuesta

Pregunta


Error beetween two methods: Simulink and Verilog(after convert by HDL coder)
Dear My friends! Now i have some problems in HDL simulink coder that need your helping. When i convert my code from simulink...

alrededor de 11 años hace | 1 respuesta | 0

1

respuesta

Respondida
How to test the HDL coder generated code with Modelsim?
Chao Nam, hien tai minh cung dang nghien cuu ve HDL coder, co gi lien he voi minh nhe, dungdkt27@gmail.com

alrededor de 11 años hace | 0

Pregunta


Simulink HDL coder Results compare
Dear my friend, I have a simulink subsystem that have one Gain block inside. I used HDL Simulink Coder to convert to verilog ...

más de 11 años hace | 1 respuesta | 0

1

respuesta

Pregunta


About HDL simulink coder for StateFlow
Dear friends, I have a Stateflow subsystem that i have used a event.The event is a trigger input with frequency = 400ns( I used...

más de 11 años hace | 1 respuesta | 0

1

respuesta

Pregunta


About HDL simulink coder
Dear friends, I have an error when I try to convert StateFlow Block to Verilog: _* Failed network:propagateClockRate:ratesDon...

más de 11 años hace | 2 respuestas | 0

2

respuestas

Pregunta


about error in stateflow
dear, when i implement stateflow in block to mult or add signals in stateflow, i have this error: _Cannot solve algebraic ...

casi 12 años hace | 1 respuesta | 0

1

respuesta

Pregunta


about HDL supported libraries
Dear, I have a problem in Simulink HDL Coder : Can i extend the supported HDL library of Simulink HDL Coder Tools? And if i ...

casi 12 años hace | 1 respuesta | 0

1

respuesta

Pregunta


Creat Signal in Simulink
Dear, I have a signal that i have saved in my workspace with the name is Matlab.mat This is my result of the previous simul...

casi 12 años hace | 2 respuestas | 0

2

respuestas

Pregunta


Truth table in staflow
Dear, I have a problem when i want to define a Underspecified Truth Table like: <http://www.mediafire.com/myfiles.php> Than...

alrededor de 12 años hace | 0 respuestas | 0

0

respuestas

Pregunta


HDL simulinks coder support
Dear friends, I have a problem MATLAB Function. How do I generate HDL Code for my MATLAB Function in Simulink HDL Coder (R2011b)...

más de 12 años hace | 2 respuestas | 0

2

respuestas

Pregunta


HDL simulinks coder error
Dear Tim McBrayer, When I tried to convert my Simulink file to VHDL code and I used HDL Workflow Advisor, but in step 3.2: Ge...

más de 12 años hace | 1 respuesta | 0

1

respuesta

Pregunta


HDL simulinks coder about
Dear friends, I have a problem MATLAB Function. How do I generate HDL Code for my MATLAB Function in Simulink HDL Coder (R2011...

más de 12 años hace | 1 respuesta | 0

1

respuesta