Exporting Xilinx system generator design as IP catalog

1 visualización (últimos 30 días)
Shashi TG
Shashi TG el 10 de Feb. de 2017
Respondida: Marco el 28 de Sept. de 2017
Hi there,
Can any type of xilinx system generator design be exported as an IP core to IP integrator? Or are there any considerations on that?
thanks in advance

Respuestas (1)

Marco
Marco el 28 de Sept. de 2017
Hello Shashi, you can find some useful information at this link: https://forums.xilinx.com/t5/DSP-and-Video/IP-import-into-System-Generator-as-a-block/td-p/544011
BR Marco

Productos

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by