sendmail function in simulink

2 visualizaciones (últimos 30 días)
Wen Yin Tay
Wen Yin Tay el 18 de Dic. de 2020
Respondida: Mark McBroom el 25 de Dic. de 2020
Can I create sendmail function in Simulink after an If-else block?
If ican, how can I create the function?

Respuestas (1)

Mark McBroom
Mark McBroom el 25 de Dic. de 2020
You should be able to do this inside a MATLAB Function block that is placed into the Simulink model. You will have to designate the sendmail() function as extrinsic.

Categorías

Más información sobre ThingSpeak en Help Center y File Exchange.

Productos


Versión

R2020b

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by